410-346-20

410-346-20 Datasheet


Part Datasheet
410-346-20 410-346-20 410-346-20 (pdf)
PDF Datasheet Preview
Arty Z7 Reference Manual

The Arty Z7 is a ready-to-use development platform designed around the Zynq-7000 All Programmable System-on-Chip AP SoC from Xilinx. The Zynq-7000 architecture tightly integrates a dual-core, 650 MHz ARM Cortex-A9 processor with Xilinx 7-series Field Programmable Gate Array FPGA logic. This pairing grants the ability to surround a powerful processor with a unique set of software defined peripherals and controllers, tailored by you for the target application. The Vivado, Petalinux, and SDSoC toolsets each provide an approachable path between defining your custom peripheral set and bringing it's functionality up to a Linux OS or bare metal program running on the processor. For those looking for a more traditional digital logic design experience, it is also possible to ignore the ARM processors and program the Zynq's FPGA like you would any other Xilinx FPGA. Digilent provides a number of materials and resources for the Arty Z7 that will get you up and running with your tool of choice quickly.
• ZYNQ Processor o 650MHz dual-core Cortex-A9 processor o DDR3 memory controller with 8 DMA channels and 4 High Performance AXI3 Slave ports o High-bandwidth peripheral controllers 1G Ethernet, USB SDIO o Low-bandwidth peripheral controller SPI, UART, CAN, I2C o Programmable from JTAG, Quad-SPI flash, and microSD card o Programmable logic equivalent to Artix-7 FPGA
• Memory o 512MB DDR3 with 16-bit bus 1050Mbps o 16MB Quad-SPI Flash with factory programmed 48-bit globally unique EUI48/64 compatible identifier o microSD slot
• Power o Powered from USB or any 7V-15V external power source
• USB and Ethernet o Gigabit Ethernet PHY o USB-JTAG Programming circuitry o USB-UART bridge o USB OTG PHY supports host only
• Audio and Video o HDMI sink port input o HDMI source port output o PWM driven mono audio output with 3.5mm jack
• Switches, Push-buttons, and LEDs o 4 push-buttons o 2 slide switches o 4 LEDs o 2 RGB LEDs
• Expansion Connectors o Two standard Pmod ports
• 16 Total FPGA I/O Arduino/chipKIT Shield connector
• Up to 49 Total FPGA I/O see table below
• 6 Single-ended 0-3.3V Analog inputs to XADC
• 4 Differential 0-1.0V Analog inputs to XADC

Purchasing Options

The Arty Z7 can be purchased with either a Zynq-7010 or Zynq-7020 loaded. These two Arty Z7 product variants are referred to as the Arty Z7-7010 and Arty Z7-7020, respectively. When Digilent documentation describes functionality that is common to both of these variants, they are referred to collectively as the “Arty Z7”. When describing something that is only common to a specific variant, the variant will be explicitly called out by its name.

The only difference between the Arty Z7-7010 and Arty Z7-7020 are the capabilities of the Zynq part and the amount of I/O available on the shield connector. The Zynq processors both have the same capabilities, but the 7020 has about a 3 times larger internal FPGA than the differences between the two variants are summarized below:

Product Variant

Arty Z7-7010

Arty Z7-7020

Zynq Part

XC7Z010-1CLG400C XC7Z020-1CLG400C
1 MSPS On-chip ADC Yes

Look-up Tables LUTs 17,600
53,200

Flip-Flops
35,200
106,400

Block RAM
270 KB
630 KB

Clock Management Tiles 2

Available Shield I/O 26

On the Arty Z7-7010, the inner row of the digital shield IO26-IO41 and IOA also referred to as IO42 are not connected to the FPGA, and A0-A5 can only be used as analog inputs. This will not affect the functionality of most existing Arduino shields, because most do not use this inner row of digital signals.

The board can be purchased stand-alone or with an accessory kit that contains a 12V/3A power adapter, USB A to Micro B cable, an 8GB speed class 10 microSD card, and a voucher to unlock the Xilinx SDSoC toolset. The SDSoC voucher unlocks a 1 year license and can only be used with the Arty Z7. After the license expires, any version of SDSoC that was released during this 1 year period can continue to be used indefinitely. For more information on purchasing, see the Arty Z7 Product Page.

Software Support

The Arty Z7 is fully compatible with Xilinx’s high-performance Vivado Design Suite. This toolset melds FPGA logic design with embedded ARM software development into an easy to use, intuitive design flow. It can be used for designing systems of any complexity, from a complete operating system running multiple server applications in tandem, down to a simple
bare-metal program that controls some LEDs. It is also possible to treat the Zynq AP SoC as a standalone FPGA for those not interested in using the processor in their design. As of Vivado release the Logic Analyzer and High-level Synthesis features of Vivado are free to use for all WebPACK targets, which includes the Arty Z7. The Logic Analyzer assists with debugging logic, and the HLS tool allows you to compile C code directly into HDL.

Zynq platforms are well-suited to be embedded Linux targets, and Arty Z7 is no exception. To help you get started, Digilent provides a Petalinux project that will get you up and running with a Linux system quickly. For more information, see the Arty Z7 Resource Center.

The Arty Z7 can also be used in Xilinx's SDSoC environment, which allows you to design FPGA accelerated programs and video pipelines with ease in an entirely C/C++ environment. For more information on SDSoC, see the Xilinx SDSoC Site. Currently no SDSoC platform is available for the Arty Z7, but we will be releasing a Video capable platform with Linux support in the
future.

Those familiar with the older Xilinx ISE/EDK toolsets from before Vivado was released can also choose to use the Arty Z7 in that toolset. Digilent does not have many materials to support this, but you can always ask for help on the Digilent Forum.
1 Power Supplies

The Arty Z7 can be powered from the Digilent USB-JTAG-UART port J14 or from some other type of power source such as a battery or external power supply. Jumper JP5 near the power switch determines which power source is used.

A USB port can deliver maximum 0.5A of current according to the specifications. This should provide enough power for lower complexity designs. More demanding applications, including any that drive multiple peripheral boards or other USB devices, might require more power than the USB port can provide. In this case, power consumption will increase until it’s limited by the USB host. This limit varies a lot between manufacturers of host computers and depends on many factors. When in current limit, once the voltage rails dip below their nominal value, the Zynq is reset by the Power-on Reset signal and power consumption returns to its idle value. Also, some applications may need to run without being connected to a PC’s USB port. In these instances an external power supply or battery can be used.

An external power supply e.g. wall wart can be used by plugging it into the power jack J18 and setting jumper JP5 to “REG”. The supply must use a coax, center-positive 2.1mm internaldiameter plug, and deliver 7VDC to 15VDC. Suitable supplies can be purchased from the Digilent website or through catalog vendors like DigiKey. Power supply voltages above 15VDC might cause permanent damage. A suitable external power supply is included with the Arty Z7 accessory kit.
More datasheets: 66035 | 66030 | B32591C3473J008 | B32591C6103J008 | B32592C6104J008 | FDD6680A | 8P15-N001 | 8P37-N001 | 8P09-N001 | 8P25-N001


Notice: we do not provide any warranties that information, datasheets, application notes, circuit diagrams, or software stored on this website are up-to-date or error free. The archived 410-346-20 Datasheet file may be downloaded here without warranties.

Datasheet ID: 410-346-20 509759